当前位置:首页 » 论文题目 » 简易计算器开题报告
扩展阅读
中国网络原创新人乐团 2021-03-31 20:26:56
党政视频素材 2021-03-31 20:25:44
厦门大学统计学硕士 2021-03-31 20:25:36

简易计算器开题报告

发布时间: 2021-03-31 07:30:57

㈠ 有那个可以帮我做VB计算器可以十进制,加开题报告

有那个可以帮我做VB计算器可以十进制,加开题报告

㈡ 如何提高小学生数学计算能力》开题报告

一、课题的提出
课程改革是整个教育改革的核心内容,是扎实有效地推进素质教育的关键环节之一。数学是国家基础教育课程改革的一项重要内容,当今社会,许多国家在基础教育发展战略上,都把数学教育作为公民素质教育的重要组成部分,并将其摆在突出的地位。计算是人们生活、学习、科学研究和生活实践中应用最广泛的一种数学方法, 也是人们认识客观世界和周围事物的重要工具之一,从抽象的观点来看,客观世界的表现形式可以概括为:数、量、空间和时间及其相互之间的关系。从数学的角度来看,主要表现在数、量、形三个方面,而计算是离不开数与计算的,空间形式及其关系要量化也离不开数与计算。任何学科的规律归结为公式后基本上都要运用四则混合运算来计算的。
根据平时作业以及单元测试和期末测试的质量分析情况看,发现我校学生在计算方面普遍存在速度慢、方法不灵活等因素,从而造成学习质量有较大的参差。因此,数学组的教师通过讨论,决定开展提高小学生计算能力的研究,目的是为了提高学生对计算的兴趣,能灵活运用各种运算定律,快速、准确地完成各种有关的计算。我们都知道培养学生的计算能力是小学数学教学的重要任务。在基础教育阶段就没有了扎实的计算能力,不仅会影响学生的学习,更严重的将会阻碍学生思维的灵活性、发散性和创造性。因此,如何提高学生的计算能力就成了小学数学教学的重要问题。
二、研究现状
1.教师对培养学生的计算能力认识不到位
只重视学生的笔算能力,忽视学生的口算能力和估算能力,实际上培养学生的口算能力很重要。在四则计算中,口算是基础,基础必须打好,学生笔算正确率的高低,与他口算能力的强弱成正比例。在日常生活中,处处有计算,也处处离不开估算。随着计算工具飞速发展,计算机的广泛使用,大数目计算的内容和要求在调整。所以,从某种意义上来说,估算的应用已大大超过精确计算。
2.教师对学生的计算只重结果不重视过程
其实计算是一个复杂的运算过程,需要很多的运算步骤才能得到一个结果,应认真分析错在什么环节。我们计算题批改时,要按学生的计算顺序,指出学生错在哪一步。让学生知道错误原因以后再订正。
3.教师对计算教学不够重视
教学上都比较重视培养学生的逻辑思维能力和空间观念,忽视计算能力的培养,觉得现在出现了高科技,能用电脑、计算器计算,学生只要会算就可以了,产生观念上的偏差,应让学生明算理、知算法,通过解决实际问题进一步提高计算能力。
4.学生不重算理只重算法
学生在学习计算时,对算理也就是为什么这样算不去理解,对计算的算法却非常重视,以为只要能算就行。对计算题普遍缺乏兴趣,认为计算题不需要思考就能解出来,产生认识上的偏差,以致做计算题时马马虎虎,不够认真。
5.学生简算意识不强
学生的计算方法不够合理、灵活,学生的计算方法应灵活多样,从多种解法中选择合理的算法,达到算法最优化,而实际上学生的简算意识不强,一道计算题如果没有要求简便,能简便计算的题目也不去简便计算,不能根据具体算式的特点去主动选择最佳的解题方法进行计算。
三、课题的界定及意义
“计算能力”,是指学生的口算、心算、估算、笔算的能力。计算能力既不能离开具体的数学知识而孤立存在,也不能离开其他能力而独立发展,它是运算能力和记忆能力、观察能力、理解能力、联想能力、表述能力等互相渗透的,它也和逻辑思维能力等数学能力相互支持。因而提高计算能力的问题,是一个综合问题,在教学过程中,努力培养计算能力,不断引导,逐渐积累、提高。
计算教学主要是指运算意义和计算方法的教学。运算意义和计算方法的教学是结合进行的。
小学生计算能力是指学生根据课程标准的要求在数学基本计算中较高的正确率和适当的速度,包括对基本方法的掌握和合理、灵活的运用。
本课题重点研究新课程理念指导下的数学课堂教学中培养学生良好的计算习惯,促进学生对计算方法的掌握,提高学生数学计算的正确率,使之达到一定的熟练程度,并逐步做到计算方法的合理和灵活。
《数学课程标准》强调计算教学时“应通过解决实际问题进一步培养数感,增进学生对运算意义的理解”,“应使学生经历从实际问题中抽象出数量关系,并运用所学知识解决问题的过程”。新课程还对计算教学进行了大幅度的改革,对计算教学的价值观重新定位,计算教学不再是单纯为了计算而计算, 而是重视了
数的概念教学,重视口算,加强估算,提倡算法多样化,减少单纯的技能性训练,避免繁杂计算。把它和新课标中所倡导的生活实际、情感态度结合起来,要求学生的计算学习活动要从单纯的依赖模仿和记忆,转化成一个生动、主动和富有创造性的过程,让学生学习生活中的数学。
四、课题研究的理论依据
(1)哲学理论依据。教学活动是一种社会实践活动,它主要包括互相联系、互相制约的两个方面----教师和学生。认识论告诉我们,人们认识事物的一般规律是“实践----认识----再实践----再认识。”
(2)心理学理论依据。从认知心理学的角度来看,教材里的知识是客观存在的东西,而学生的认知结构是知识结构在学生头脑中的反映。学生是能动的主体,他是在原有认知结构的基础上吸收、同化新知识,充实、完善原有的认知结构,组成新旧知识统一的、新的认知结构。“注重学生已有的经验,培养学生灵活的计算方法”由于重视了学生在已有经验的基础上,充实原有的认知结构,学生不仅学会了自己去“发现”知识,而且还培养了他们自主学习的意识和创新能力。
(3)现代教育学理论依据。现代教育理论强调教学过程是师生双边活动的过程,教师主导的作用很重要。但学生是学习的主体,学生是否积极参加,对于教学效果有极大的影响。在计算教学中,教师绝不能满足于把计算法则,公式讲清楚,而应善于启发,引导学生,充分调动其学习的积极性和主动性,让学生真正理解算理,熟练掌握计算能力。
五、研究预期目标
1.使学生了解数的运算的基本算理,会用多种方法进行计算;使学生探索和理解运算定律,初步了解不完全归纳法的数学思想,初步体验数学思考的条理性,会应用定律进行简便运算,从而从多方位提高计算能力。
2.完善计算教学的教学方法,提高计算教学的教学价值和效率。
3.通过研究寻求能提高学生计算速度和正确率的教学策略,总结出提高学生计算能力的训练方法,从而提高学生的学习成绩,为学生今后的学习奠定扎实的基础。
4、在研究中,培养教师的科研意识,不断提高教师的教育科研水平。
六、研究内容
围绕课题研究的重点,我们将研究内容分为三大块:
1、促进学生良好计算习惯养成的研究。
有的学生计算能力低,固然有概念不清、没有真正理解算理、没有熟练掌握算法等原因,但没有养成良好的计算习惯也是重要原因之一。有的审题习惯差,往往只看了一半就动手去做;有的书写不规范,数字、运算符号写得潦草,抄错数和符号;有的没有验算习惯,题目算完了事。针对这些现象,我们认为,要想提高学生的计算能力,首先要培养学生良好的计算习惯,让学生掌握一些方法。我们将研究如何在课堂教学、课外练习、考查测试中促进学生良好计算习惯的养成。
2、利用课堂教学提高学生计算能力的研究。
有关计算方面的基础知识广泛分布于小学数学的各册教材中,要求每位数学教师必须熟悉各册教材的教学要求,根据小学生的认知规律、年龄特征以及知识基础精心设计教案,灵活调控教学过程。在强化基础知识的同时,还要注意培养能力,发展智力,力求达到最佳的教学效果。我们将重点研究这三方面的内容:
(1)计算教学过程与方法的研究。
受传统教学观念的支配,许多教师奉行“熟能生巧”原则,实施计算教学中的“题海战术”,致使越来越多的学生厌恶计算、害怕计算。认真阅读新课标,不难发现对计算的要求提到了“重视培养学生的创新意识和实践能力”。这就要求我们积极转变教学观念,把教学目标更多地定位于计算本身存在的思维历程,定位于如何开展计算课教与学的活动,让学生主动、愉快地参与计算,感悟计算的魅力,品尝计算的乐趣,提高计算的能力。因此,我们将研究在计算教学的课堂中如何展开教学过程,运用怎样的教学策略让学生真正喜爱计算、理解计算。
(2)实现算法多样化的研究。
“鼓励算法多样化”是新课程标准的一个重要理念。算法多样化的本质是让学生从自己已有的知识与经验出发学习新知识,鼓励学生通过独立思考而探寻解题的方法。算法多样化已得到广大教师的极大关注和积极实践,但在算化多样化的理解和把握上则各不相同。我们将研究在数学教学中如何把握算法多样化的本质,处理好算法多样化与算法优化的关系,追求算法的合理与灵活。
(3)加强口算和估算教学的研究。
口算也称心算,它是一种不借助计算工具,主要依靠思维、记忆,直接算出得数的计算方式。《新课程标准》指出:口算既是笔算、估算和简算的基础,也是计算能力的重要组成部分。由此可见,培养学生的计算能力,首先要从口算能力着手。
数学课程标准在发展学生的数感方面明确指出:能估计运算的结果,并对结果的合理性作出解释。估算是发展学生数感的有效途径之一,也是保证计算正确的重要环节,对提高学生的计算能力很有益处。在计算前进行估算,可以估计出大致结果,为计算的准确性创设条件;在计算后进行估算,能判断计算有无错误并找出错误的原因,及时纠正。在学生的日常口算和笔算过程中,无论是计算前估算或者是计算后估算,都有一定的价值,
因此,我们将研究如何加强口算和估算训练,有计划地组织学生进行感兴趣的练习,利用测试评价、竞赛活动等形式提高学生口算和估算的能力。
3、发挥家庭教育对提高学生计算能力的积极作用的研究。
家庭教育是学校教育的有效补充和自然延伸,对学生的发展有着重要作用。学生生活在家庭中,家庭环境对孩子的影响是全方位的,也是至关重要的。而今,家长对孩子都寄予了较高的期望,重视孩子各方面能力的提高,尤其重视孩子的数学学习,有些家长在自己督促、辅导孩子的同时还聘请家教人员进行数学辅导。但是,现在家长比较关注孩子数学思维能力的培养,让孩子参加专门的“奥数”辅导,对孩子计算能力的培养不够重视,家庭教育对提高学生计算能力没有发挥出应有的作用。因此我们将研究如何充分发挥家庭教育独特的功能,加强家校联系,与家长互相沟通、互相促进,充分发挥家庭教育对培养学生计算能力的支持作用。
七、研究方法
1、归纳——演绎法。将符合同一运算方法的题归类来进行教学。归类的目的是帮助学生掌握这些题的计算方法,归纳之后再用演绎法练习。
2、文献研究法。主要是多角度开展资料、信息的比较研究,了解掌握国内外研究成果、借鉴成功做法,吸取有关教训,为本课题研究提供理论框架和方法论。
3、调查研究法。一是调查课题实施点的教情、学情及创新教育发展的可能性、使研究切合实际,具有可行性;二是调查学习提高计算能力的各种因素,研究相关对策,使研究有的放矢、具有实效性;三是调查实验前后有关素质指标的变化效应,使研究有根有据、具有科学性。
4、教育经验总结法。课题组成员应用教育经验总结法,进行教学经验总结,同时通过教学经验总结,学会运用教育科学理论的知识,分析所搜集材料和统计数据,提高自身业务水平。
八、研究阶段
研究的主要阶段:
第一阶段:2013年3月-2013年5月,课题酝酿研究及立项准备阶段;了解国内外对本课题的研究动态,调查研究,建立课题的实验设想,撰写研究方案和实施计划。
第二阶段:2013年6月-2015年8月,初步探索阶段;组建课题研究组,进行研究人员的学习,开展初步的实验工作。
第三阶段:2015年9月-2015年11月,总结反思阶段;课题资料的整理,数据处理,结果分析和撰写研究报告。
九、课题的可行性分析
1.参与本课题的人员均来自我校优秀一线教师, 他们有丰富的一线教学经验,对课堂组织形式及学科教学模式有相当多的理解,对本课题的内容也有初步的认识与兴趣。相信他们能较好地配合本课题的研究工作。其次他们也经常撰写教学论文,经常开展教育教研工作,对课堂的行为模式与实践能大胆探讨,具有强烈的课题研究意识。
2.我校软件硬件设备先进,教师及学生都有云空间帐号,老师及学生可以通过互联网沟通交流学习资源,街道中心校为学校购置电子白板,对我课题组开展研究有着积极作用;同时我们也征订了各类与本课题研究相关的教育期刊,这些都是本课题的资源。学校对本课题高度重视,并给予大力支持,在问题决策的资源上也有足够保证。本课题计划用一年半的时间开展实践与研究,在这一过程中有设置会议与交流学习活动,时间上能够得到保证。
十、阶段成果及最终成果形式
1、研究报告
2、小学生计算能力的调查报告。
3、如何提高学生计算能力的教学经验总结或论文。
4、相关附件,如 优秀教学设计、录像、照片、实物,教师自身学习的读书笔记等。

㈢ 计算机专业怎样写毕业设计的开题报告

设计思路~
电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。
在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。

一、设计任务(数字钟的功能):
1.具有时、分、秒、计数显示功能,以24小时循环计时;
2.具有清零,调节小时、分钟功能;
3.具有整点报时功能,整点报时的同时LED灯花样显示。
扩展部分:在基础功能上添加以下几个功能:秒表,倒计时和闹钟。
目的是:掌握多位计数器相连的设计方法;掌握十进制,六进制,二十四进制计数器的设计方法;继续巩固多位共用级扫描显示数码管的驱动及编码;掌握扬声器的驱动;LED灯的花样显示;掌握EPLD技术的层次化设计方法。
而且需要以下硬件条件:
1,主芯片 EPF10K10LC84-4;
2 ,8个 LED灯;
3,扬声器;
4,8位八段扫描共阴极数码显示管;
5,三个按键开关(清零,调小时,调分钟)

二、实现方案:

把整个实验分成如下电路模块:
1.时钟计数: 秒——60进制BCD码计数:
分——60进制BCD码计数:
时——24进制BCD码计数:
模块说明:
各种进制的计数及时钟控制模块( 10进制、 6进制、 24进制);
同时获个计数器有清零,调分,调时功能。在接近整数时间能提供报时信号。
2.具有驱动8位八段共阴扫描数码管的片选驱动信号输出和八段字形译码输出。
3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间当重新接通电源或走时出现误差时都需要对时间进行校正。通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。

4.计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时
5.LED灯按个人爱好在整点时有花样显示信号产生。

三、实现设计过程:.
秒个位计数单元为10进制计数器,无需进制转换,我们采用的是VHDL语言编程实现的.
秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器的程序稍微修改为6进制计数器
分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连。
时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为24进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行
24进制转换.

1、十进制BCD码计数器
library ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
ENTITY c6 IS
port(clk,clr : in std_logic;
q : out std_logic_vector(2 downto 0));
end c6;

architecture one of c6 is
signal count :std_logic_vector(2 downto 0);
begin
process(clk,clr)
begin
if clr='1' then
count<="000";
elsif clk'event and clk='1' then
if count="1001" then
count<="000";
else
count<=count+1;
end if;--for count
end if; --for clr
end process;
q<=count;
end ;

2、二十四进BCD制码计数器:

LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
ENTITY count IS
port( clk,clr,count_en : in std_logic;
dout1,dout2 : out std_logic_vector(3 downto 0);
car:out std_logic);=count2+'1';
end if;

if count2="0010"and count1="0011" then
count2<="0000";car<='1';

else car<='0';
end if;
end if;
end if;
end process;
dout1<=count1;dout2<=count2 ;
end;

3、六十进制计数器:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_UNSIGNED.all;

entity c60 is
port(clk,clr,count_en:in std_logic;
dout1,dout2:out std_logic_vector(3 downto 0);
car:out std_logic);
end c60;
count1<="0000";count2<="0000";
elsif clk'event and clk='1' then
if count_en='0' then
count1<=count1+'1';
if count1 ="1001" then
count1<="0000";count2<=count2+1;
end if ;
if count2 ="0101" and count1 ="1001" then
count2<="0000";car<='1';
else car<='0';
end if ;
end if ;
end if ;
end process;
dout1<=count1;dout2<=count2;
end ;

4、实现报时器功能的程序:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_UNSIGNED.all;

entity bijiaoqi is
port(minu1,minu2,hour1,hour2,fen0,fen1,shi0,shi1:in std_logic_vector(3 downto 0);
baoshi:out std_logic);
end ;

architecture rtl of bijiaoqi is
begin
process(minu1,minu2,hour1,hour2,fen0,fen1,shi0,shi1)
begin
if hour1=shi0 and hour2=shi1 and minu1=fen0 and minu2=fen1 then
baoshi<='1';
else baoshi<='0';
end if;
end process;
end;
6、实现定时功能的程序:
library ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
ENTITY dingshi IS
PORT (hour,SET: IN STD_LOGIC;
hour0,hour1 : out std_logic_vector(3 downto 0));
END dingshi;
architecture rtl of dingshi is
signal n:std_logic;
signal count3,count4 :std_logic_vector(3 downto 0);
begin
process(set,hour,n)
begin
n<=hour and set;
if n' EVENT AND n='1' THEN
if count4>="0010" and count3="0011" then
count4<="0000";count3<="0000";
else count3<=count3+1;
if count3="1001" then
count4<=count4+1;
count3<="0000";
end if;
end if;
end if;
end process;
hour0<=count3;hour1<=count4;
end;

㈣ 51单片机接口电路的计算器的实现开题报告范例

用51做计算器?光一个浮点数除法就够你折腾一阵子了。

㈤ 求关于8051与16LCD设计的保留两位小数的简易计算器的开题报告及文献综述。

需要和我联系哦。我会帮助你的!免费的哦!一起探讨!我也是做和你一样的!

㈥ 求基于单片机的简易计算器设计 以及开题报告

如果有给的
我也希望看看
我做的小数不能处理
只能处理20位以内的正数

㈦ 求基于单片机的简易计算器设计 以及开题报告

如果有给的 我也希望看看 我做的小数不能处理 只能处理20位以内的正数

㈧ 急求一份51单片机键盘接口的计算器的实现毕业设计及开题报告

大哥都有啊

㈨ 51单片机键盘接口电路的计算器的实现的毕业设计及开题报告

51单片机计算器的设计(开题报告实物论文)

目 录
1.课程设计的目的………………………………………………3
2.课程设计题目描述和要求……………………………………3
3.单片机发展简史………………………………………………4
4.MCS-51单片机系统简介………………………………………6
5.MCS-51单片机内部定时器/计数器简介……………………7
6.理论设计………………………………………………………8
7.主要电路分析…………………………………………………8
8.硬件设计………………………………………………………10
9.软件设计………………………………………………………11
10.程序代码……………………………………………………12
11.设计总结……………………………………………………18
12.参考文献……………………………………………………20

随着社会的发展,科学的进步,人们的生活水平在逐步的提高,尤其是微电子技术的发展,犹如雨后春笋般的变化。电子产品的更新速度快就不足惊奇了。
计算器在人们的日常中是比较的常见的电子产品之一。可是它还在发展之中,以后必将出现功能更加强大的计算器,基于这样的理念,本次设计是用单片机来设计的四位数计算器。该设计系统是以AT89S51为单片机, P3口作为输入端,外接4X4的键盘,通过键盘扫描来对输入数的控制,在P1口,P2口接了驱动电路。用来保证LED的工作正常。计算器将完成的功能有加,减,乘,除等功能。

功能:

完成0~9999整数的一次加/减/乘/除运算,
减法运算结果可以实现计算结果的负数显示,
除法运算结果为0~999并保留两位小数,
按键音,
设有清零键,
首位零不显示,
其它的显示"E"

按键排布为:

清零/确认/除/乘

减/加/9/8

7/6/5/4

3/2/1/0